Arteris, Inc. heeft de lancering aangekondigd van Arteris FlexNoC 5, een fysiek bewuste netwerk-op-chip (NoC) interconnectie-IP. FlexNoC 5 stelt SoC-architectuurteams, logica-ontwerpers en integratoren in staat om fysieke beperkingen te beheren op het gebied van vermogen, prestaties en oppervlakte (PPA) om een fysiek bewuste IP te leveren die de SoC verbindt. Deze technologie maakt 5X snellere fysieke convergentie mogelijk dan handmatige verfijningen met minder iteraties van het lay-outteam voor auto's, communicatie, consumentenelektronica, enterprise computing en industriële toepassingen.

Handmatige workflows omvatten doorgaans talrijke iteraties van pijplijninvoegingen, het inspannend creëren van beperkingen voor fysieke plaatsing van eenheden, en langdurige NoC-plaatsing plus route-iteraties om te convergeren naar de PPA-doelen van de SoC. FlexNoC 5 physical awareness daarentegen elimineert deze iteraties en verkort de duur van diverse handmatige stappen, waardoor de fysieke convergentie van het back-end fysieke ontwerp tot 5x sneller verloopt. De resulterende fysiek geoptimaliseerde NoC IP instance is dan klaar voor uitvoer naar fysieke synthese en plaats en route voor implementatie.

Bovendien breidt FlexNoC 5 de ondersteuning voor Arm AMBA 5-protocollen en IEEE 1685 IP-XACT uit, inclusief een connectiviteitsflow met Arteris Magillem voor NoC-integratie met andere SoC IP-blokken. FlexNoC 5 ondersteunt ook de productiebeproefde Arteris veerkrachtoptie voor functionele veiligheidskwalificatie in de auto-industrie en betrouwbaarheid van datacenters, de geavanceerde geheugenoptie voor het optimaliseren van geheugenverkeer, en de Arteris-optie voor zeer grote ontwerpen.